Exhibit Hall 2024


2025 Exhibit Hall

<br>2025 Exhibit Hall
600-Brewer Science, Inc. 501-Tignis 605-Virginia Diodes, Inc. 602/604-ePAK International 612-MMEC 704-Annealsys-ECM 704-ECM-Annealsys 213-Intelligent Epitaxy Technology 705-Forge Nano 411-Wolfspeed 401/500-Plasma-Therm 300-Veeco 511/513-SEMILAB 611-LayTec AG 212-RENA Technologies North America 613-Freiberger Compound Materials 313-JST 301-k-Space Associates 308-C&D Semiconductor 712-Thermo Fisher Scientific 113-HORIBA Instruments Inc. 412-Pallidus, Inc. 512-ClassOne Technology 510-ClassOne Equipment 407-RAITH America, Inc. 312-Matsuda Sangyo Co.,Ltd. 608-Vacuum Engineering & Materials Co. 713-Advanced Furnace Technology Ltd 310-RSC - Reliable Silver Corporation 405-Bruker 607-Kayaku Advanced Materials 812-Dockweiler Chemicals GmbH 610-Taiyo Nippon Sanso 402/404-KLA Corporation 503/505-Beneq 400-Denton Vacuum 408-Adroit Materials Inc. 508-Neutronix Quintel 502-Ferrotec (USA) Corp. 210-Amtech 606-JEOL USA 805-StratEdge Corporation 804-Aixtron 200-Camtek USA, Inc. 201-Samco 410-Efab International Technology Co., Ltd. 507-Evatec NA Inc 406-Laser Thermal Analysis 813-Pozzetta 211-Precitec Inc. 504-DOWA Electronic Materials Co. 509-Canon USA 205-Accel-RF 302-Eurofins EAG Laboratories 506-Mitsuboshi Diamond Industrial Co. 309/311-CSconnected 303-Centrotherm 807-CS CLEAN SOLUTIONS Inc 706-Oxford Instruments 708-Tresky GmbH 101-Trymax USA, Inc 207-SPS-America 111-AXT 306-OAI 202-Hermes-Epitek Silicon Valley Inc. 413-SUSS MicroTec Inc. 307-Lam Research 208-Nada Technologies, LLC 707-Eumetrys 710-Air Liquide-Balazs NanoAnalysis 305-Kashiyama 709-STR US 711-NTT Advanced Technology Corporation 811-Insaco Inc. 304-Sumitomo Chemical Advanced Technologies 204-MOSIS 2.0 Prototyping Service 206-Time Tech Spectra USA 100-Toho Technology Inc. 103-Sono-Tek Corporation 809-Natcast 106-ElectraMet 810-NCSU CLAWS 102/104-Media

600-Brewer Science, Inc.

Materials Right the First Time

At Brewer Science we focus on technology innovation as a pathway forward by providing the highest quality products to our customers through our focus on zero defects and manufacturing perfection.

https://www.brewerscience.com/

501-Tignis

Tignis offers a ready-to-use machine learning service to enable manufacturers and operators to continually improve physical assets and processes. Insights are provided in real-time so changes can be made even while systems are in use. Our service enables you to quickly identify today’s most critical issues, predict future problems before they occur and to optimize performance. Our customers do not need to build and maintain machine learning and specialized software teams. We offer Tignis as a service in the cloud or as an edge computing solution so customers can easily use machine learning and AI to identify anomalies and patterns and then take action to improve quality and throughput.

https://tignis.com/

605-Virginia Diodes, Inc.

Virginia Diodes Inc. designs, manufactures and sells millimeter wave and terahertz devices, components, and systems. VDI's primary products are detectors, mixers, frequency multipliers, and integrated systems for reliable operation at frequencies between 18 GHz and 2 THz. All VDI components include in-house fabricated GaAs Schottky diodes and microelectronic filter structures. Other products include mesh filters, comb generators, and radiometers for applications above 100 GHz.https://www.vadiodes.com/en/

602/604-ePAK International

ePAK is a leading full service provider of semiconductor transfer and handling products. With an eminently experienced team, a centrally located manufacturing center, US based global headquarters and sales centers around the world; we are strategically positioned to best serve your needs.https://www.epak.com

612-MMEC

The Midwest Microelectronics Consortium (MMEC) leads the acceleration of microelectronic technologies and delivers solutions to establish a trusted and resilient domestic supply chain. The MMEC is the premier collaborative, public-private ecosystem that engages broadly across innovative partners in industry, academia, and government to rapidly advance defense and commercial applications. This unique environment empowers members to discover new technologies, share capabilities, develop a skilled workforce, and launch groundbreaking innovation into scalable commercial production for the benefit of National Security and economic dominance.https://www.mmeconsortium.org/

704-Annealsys-ECM

Annealsys designs and manufactures Rapid Thermal Processing (RTP) and Chemical Vapor Deposition (CVD) systems. Our customers are companies for production applications and R&D laboratories in the fields of silicon, compound semiconductors, nanotechnologies, MEMS, etc. With 300 systems installed worldwide, Annealsys is a leading manufacturer of RTP systems for special production applications using substrates up to 200 mm. Our cold wall chamber RTP furnaces can perform processes up to 1450°C. Pulse annealing process is available to anneal thermally sensitive substrates. It is possible to carry out process under any types of gases, from atmospheric pressure down to high vacuum.http://www.annealsys.com

ECM USA provides high quality vacuum furnaces, advanced automation, spare-parts, service, and R&D testing for customers in North, Central and South America for the ECM Group. With experienced engineering, advanced manufacturing and installation expertise, ECM vacuum furnaces provide high up-time to demanding production environments all over the world. Our diverse product lines are developed for (but not limited to): carburizing, oil/gas quenching, sintering, brazing, melting, semiconductors, 3D additive, and robotics. Visit our Wisconsin, USA, R&D Synergy Center to optimize your heat treat process with metallurgical part analysis and testing in our Nano furnace!https://www.ecm-usa.com/

704-ECM-Annealsys

Founded in 1928, the ECM Group is an equipment design & manufacturing company known internationally for its innovations, technologies, processes, and services. ECM Greentech, a subsidiary of the ECM Group, focuses on Renewable Energies, Semiconductor and Crystal growing furnaces for R&D, Mass production. We are capable of providing turnkey factory solutions on the strength of the experience it has amassed over more than 30 years as an equipment manufacturer in the industry for Renewable Energies. Our brands also include: Semco and Cyberstar, where tools from both production lines are engineered and manufactured in our French workshop based in Grenoble and Montpellier. A few words on Semco – over 30 year experience making semiconductor furnaces for Diffusion, PECVD, RTP, and E-Chuck services. Our processes include: APCVD, LPCVD, and patented LYDOP and LYTOX for 4”, 6”, 8” and 12” wafers. A few words on Cyberstar – near 40 year expertise on crystal growth equipment manufacturing for photovoltaic silicon, oxides, fluorides, II-VI, III-V and exotic materials. Production line includes: Czochralski Puller, LPE, Mirror furnace, Bridgman furnace, and more.https://ecm-greentech.fr/

213-Intelligent Epitaxy Technology

Intelligent Epitaxy Technology is an electrical/electronic manufacturing company based out of 1250 E Collins Blvd, Richardson, Texas, United States.https://intelliepi.com/

705-Forge Nano

We make materials better. Forge Nano is a global leader in surface engineering and precision nano-coating technology. We make your materials better to unlock your product value. Forge Nano’s proprietary technology and manufacturing processes support you from the research scale up to large-scale commercial production. Our high-throughput nano-coating manufacturing processes bring nano-coating capabilities to scale at a low price point across a range of industries, including; Semiconductor, Lithium Ion Batteries, Renewables, Hydrogen production, Automotive, Consumer Electronics • Power Grid, Oil and Gas, Catalysis, Advanced Ceramics, Magnetics, Fuel Cells, Super-capacitors, Solar PV, Additive Manufacturing, and many morehttp://www.forgenano.com/

411-Wolfspeed

Wolfspeed (NYSE: WOLF) leads the market in the worldwide adoption of silicon carbide technologies that power the world’s most disruptive innovations. As the pioneers of silicon carbide, and creators of the most advanced semiconductor technology on earth, we are committed to powering a better world for everyone. Through silicon carbide material, Power Modules, Discrete Power Devices and Power Die Products targeted for various applications, we will bring you The Power to Make It Real. Learn more at www.wolfspeed.com.https://www.wolfspeed.com/new-wolfspeed

401/500-Plasma-Therm

Plasma-Therm is a global manufacturer of advanced plasma-processing equipment, providing etch, deposition, and plasma dicing technologies used in semiconductor packaging, solid-state lighting, power, data storage, renewable energy, MEMS, nanotechnology, photonics, and wireless communication markets. Plasma-Therm’s VERSALINE platform is the workhorse for a variety of applications in specialty semiconductor markets. The platform's modular design allows flexible configuration of substrate handling and technologies that address the wide range of customer requirements. Plasma-Therm’s Singulator® systems bring the precision and speed of plasma dicing to chip-packaging applications. Manufacturers, academic and governmental institutions depend on Plasma-Therm equipment, designed with “lab-to-fab” flexibility to meet the requirements of both R&D and volume production. Plasma-Therm's products have been adopted globally and have earned their reputation for value, reliability, and world-class support. Named the #1 Etch Equipment Supplier in 2019, Plasma-Therm has more than two decades of awards in the VLSIresearch Customer Satisfaction Survey, including the highest score ever earned by a semiconductor equipment company.http://www.plasmatherm.com/

300-Veeco

Making a Material Difference is at the core of our DNA. For more than 30 years, we have developed differentiated technologies and built expertise and know-how that has enabled world-changing devices such as LED lighting, data storage, advanced computing and mobile devices to reach industrial scale. We help customers overcome technical and cost barriers that make it possible to convert novel materials into high-yielding device performance at production volumes. Our customers produce the newest, fastest, smallest, and most efficient electronic components used in devices to help deliver a better world. We serve the semiconductor and emerging high-growth markets. Our process equipment and technology are used to manufacture advanced semiconductors, LEDs, displays, photonics, VCSELs, power electronics, compound semiconductors, hard disk drives, MEMS and wireless chips. For information on our company, products and worldwide service and support, please visit https://www.veeco.com/

511/513-SEMILAB

SEMILAB provides state-of-the-art metrology solutions for semiconductor device manufacturers, both in-line and R&D segments, and is a strategic metrology supplier of leading wafer manufacturers, IC device makers in the More-than-Moore market segment, solar and display industries worldwide. We cover the entire R&D lifecycle of product innovation, ranging from innovative research, measurement development, product design and manufacturing to implementation, integration, and maintenance. With our 47 product lines and our 214 unique products, our growing portfolio offers a variety of metrology solutions based on optical and electrical measurement technologies, thin film applications, and automation developments based on customer requirements, from manual operation to complete and factory scale automation. With our 1500+ employees worldwide, together we participate in the entire manufacturing process of the measuring instruments from the first spark of idea to the last test-run before delivering the product over to the customer.http://www.semilab.com/

611-LayTec AG

LayTec is a major provider of integrated optical metrology systems for thin-film processes in the compound semiconductor and photovoltaics industry. LayTec sensors are used in a broad range of thin-film applications such as compound semiconductor epitaxy, photovoltaic, oxide and organic deposition. Advanced use of measurement techniques such as reflectometry, emissivity corrected pyrometry, laser deflectometry, reflectance anisotropy spectroscopy are uniquely combined to create our series of novel products. LayTec’s in-situ metrology provides access to all key thin-film parameters in real-time – either during the deposition process or in-line.http://www.laytec.de/

212-RENA Technologies North America

RENA Technologies North America of Albany, Oregon, is a wet processing equipment and services company serving the semiconductor, MEMs, solar, and high technology industries. RENA NA’s specialties include patented solutions for wet processing applications, including Metal Lift-off, Advanced Etch, Critical Etch, and wafer cleaning solutions. Exceptional process control is provided through RENA NA's proprietary IDX Flexware Process Control Software. We manufacture custom automated and semi-automated wet benches, wet process systems and automated chemical delivery systems, along with providing award-winning service and support. RENA NA has a commitment to outstanding customer service. RENA NA has sales offices in the United States, Europe, China and Taiwan. RENA NA provides tailored, quality equipment and services for high technology manufacturers. We’ve been in business since 1990, serving satisfied customers around the world. Our custom solutions focus on: A single-minded customer-oriented service philosophy where process and throughput are vital. Highly reliable Wet Processing equipment designed for your applications and needs through a process and partnership. RENA NA's design process insures that we know what you expect and that we are committed to meeting those expectations. With advanced engineering design processes, field-proven reliability and award winning support our reputation is built upon our commitment to you the customer.https://www.rena-na.com/

613-Freiberger Compound Materials

Freiberger Compound Materials GmbH (FCM) offers high-quality III-V substrate wafers made of GaAs, InP and GaN to suit the most demanding micro- and optoelectronic applications. With its products that meet the highest quality standards, FCM has acquired an excellent reputation on the worldmarket. http://www.freiberger.com/

313-JST

JST is a world class supplier of wet benches for the semiconductor, opto-electronics, biomedical, food processing, and other clean industries. We collaborate with our customers to provide best solutions for lab, fab and HVM requirements, which incorporate reduced chemical usage, reduced footprint and efficient automation for improved throughput to provide the maximum return on investment for the industries we serve.http://www.jstmfg.com/

301-k-Space Associates

Putting light to work for over 30 years! Over 1,000 customers on 6 continents in at least 44 countries use k-Space thin film and industrial metrology tools. k-Space Associates, Inc. is a leading manufacturer of in-line, in situ, and ex situ metrology tools for the semiconductor, thin-film, photovoltaic (PV), solar, automotive, glass, and building materials industries. Our tools and custom metrology solutions are in research and production facilities around the world. For industrial applications, k-Space is known for its ability to provide robust data and analysis for in-line solutions in production environments. k-Space works side-by-side with the customer to understand their specific needs and develops a custom solution to meet measurement requirements. This includes technology, software, data analysis, customization, automation of measurements, and integration with existing systems. For the thin-film, semiconductor and photovoltaic (PV) industries, k-Space metrology tools focus on real-time data acquisition, processing, and analysis of nearly all deposition parameters of importance, including: wafer and film temperature, thin-film stress and strain, wafer curvature, bow, and tilt, surface roughness and quality, film thickness and deposition rate, optical band gap and atomic spacing. We also supply ex situ wafer and surface analysis tools which perform full curvature, stress, and wafer bow mapping on up to 300mm wafers. Our tools are used in today’s most advanced thin-film deposition and processing applications within compound semiconductor, silicon semiconductor and photovoltaic advanced thin film production and R&D. http://k-space.com/

308-C&D Semiconductor

C&D Semiconductor, Inc. is an innovative, fast-growing semiconductor equipment manufacturer based in San Jose, California. Founded in 1989, we have been serving the global semiconductor and other related industries for more than two decades, with customers from all over the world. Our products and services cover wafer handling, processing, and inspection requirements in semiconductor manufacturing. Our main engine for growth is our ability to respond to customers’ needs by providing them with high-performance systems that deliver maximum benefits at short notices. Our technical know-how and flexibility enables us to work closely with our customers to design, develop, and manufacture systems that help meet their business and financial goals. This is why our customers continue to reward us with their business.http://www.cdsemi.com/

712-Thermo Fisher Scientific

About Thermo Fisher Scientific Thermo Fisher Scientific Inc. is the world leader in serving science, with annual revenue of approximately $40 billion. Our Mission is to enable our customers to make the world healthier, cleaner and safer. Whether our customers are accelerating life sciences research, solving complex analytical challenges, increasing productivity in their laboratories, improving patient health through diagnostics or the development and manufacture of life-changing therapies, we are here to support them. Our global team delivers an unrivaled combination of innovative technologies, purchasing convenience and pharmaceutical services through our industry-leading brands, including Thermo Scientific, Applied Biosystems, Invitrogen, Fisher Scientific, Unity Lab Services, Patheon and PPD.https://www.thermofisher.com/

113-HORIBA Instruments Inc.

The HORIBA Group of worldwide companies provides an extensive array of instruments and systems for applications ranging from automotive R&D, process and environmental monitoring, in-vitro medical diagnostics, semiconductor manufacturing and metrology, to a broad range of scientific R&D and QC measurements. Proven quality and trustworthy performance have established widespread confidence in the HORIBA Brand.http://www.horiba.com/

412-Pallidus, Inc.

With our unique technology platform, extensive IP portfolio, high performance wafers, rapid expansion, and strong team, Pallidus offers the premiere silicon carbide solution for power semiconductor and other markets.http://www.pallidus.com/

512-ClassOne Technology

ClassOne Technology is a leading provider of advanced electroplating and wet processing systems for semiconductor and microelectronic device manufacturing around the world. Its advanced IP portfolio comprises highly customized, cost-effective processing solutions for critical wafer processes used to manufacture compound semiconductor devices for the photonics, power, 5G, microLED, and MEMS and sensor markets. With tools installed in leading-edge fabs and research organizations worldwide, ClassOne’s flagship Solstice platform is highly configurable, comprising fully and semi-automated electroplating and wet processing applications with the industry’s most competitive ROI. For more information, please visit classone.com.http://www.classone.com/

510-ClassOne Equipment

ClassOne Equipment is a unique company that has been serving the global semiconductor industry since 2002, and today, we offer customers a very broad selection of new and refurbished semiconductor tools, state-of-the-art equipment, parts, and more. All delivering high quality at very attractive prices. ClassOne Equipment can also deliver custom equipment upgrades and enhancements, professional installation and training, 24-72 hour onsite support, 30-day unconditional return policy, 6- and 12-month equipment warranties, spare parts support, and field service from factory-trained and certified field service engineers, and a great deal more.http://www.classoneequipment.com/

407-RAITH America, Inc.

Raith is a leading precision technology solution provider for nanofabrication, electron beam lithography, focused ion beam fabrication, laser beam lithography, nanoengineering and reverse engineering applications. Customers include universities and other organizations involved in various fields of nanotechnology research and materials science as well as industrial and medium sized enterprises that use nanotechnology for specific product applications or produce compound semiconductors. Founded in 1980 and headquartered in Dortmund, Germany, Raith employs more than 200 people. The company works as close as possible with customers in the most important global markets through subsidiaries in the Netherlands, the USA and in Asia and through an extensive partner and service network.https://raith.com/

312-Matsuda Sangyo Co.,Ltd.

MATSUDA SANGYO Co.,Ltd. is a Japan-based company mainly engaged in the precious metals business. The Company operates in two business segments. The Precious Metal-related Business segment is engaged in the recovery and smelting of precious metals, the sale of precious metal ingots and electronic materials, and the collection, transportation and processing of industrial waste. The Food-related Business segment is engaged in the sale of raw materials for food processing and the provision of logistics services. Overseas operations are in Singapore, Thailand, China, Malaysia, Vietnam, and Philippine.https://www.matsuda-sangyo.co.jp/

608-Vacuum Engineering & Materials Co.

VEM is a global supplier of thin film materials for the RF Wireless, optics, photovoltaic, and MEMS markets in a wide range of industries including semiconductor, life sciences, Aerospace & Defense, consumer-mobility and clean energy. Headquartered in the Silicon Valley and serving over 200 customers, VEM is a leading supplier of high purity PVD sputtering targets and evaporation materials. Our product portfolio spans the periodic table and we have an experienced technical team developing innovative new products.http://www.vem-co.com/

713-Advanced Furnace Technology Ltd

Advanced Furnace Technology have over thirty years’ experience in Susceptor Management within the MOCVD/ MOVPE industry. Having designed and installed some of the first MOCVD machines in the world, we have exceptional knowledge and experience when it comes to understanding the problems faced by today’s epitaxial engineers. Our business success is down to providing customers with the industry insight, product quality, service reliability and the total confidentially they expect. This gives our clients the confidence in their susceptors performing to a consistently high standard, freeing them to concentrate on running their process at maximum efficiency. AFTech provides three primary areas of service; Graphite Cleaning, Graphite Purification and Graphite Coating.https://advancedfurnacetechnology.com/

310-RSC - Reliable Silver Corporation

At Reliable Silver Corporation (RSC) we produce much more than just silver. We manufacture and sell a broad range of precious metal industrial products from gold, silver, platinum and palladium. Products serve semiconductors, medical, optical, electronics, electrical contacts, large area coatings, aerospace/defense, power generation and transmission, electroplating, and wearables applications. RSC's reputation for performance, quality, price, delivery, and flexibility is unmatched by large competitors in the precious metals industry.http://www.reliablecorp.com/

405-Bruker

Right from the beginning, more than sixty years ago, Bruker has been driven by a single idea: to provide the best technological solution for each analytical task. Today, worldwide, more than 9,700 employees in over 90 locations on all continents are focusing their efforts on this permanent challenge. Bruker systems cover a broad spectrum of applications in all fields of research and development and are used in all industrial production processes for the purpose of ensuring quality and process reliability. Bruker continues to build upon its extensive range of products and solutions, expand its broad base of installed systems, and maintain a strong reputation amongst its customers. As one of the world's leading analytical instrumentation companies, Bruker remains focused on developing state-of-the-art technologies and innovative solutions for today’s ever-complex analytical questions. Bruker - Innovation with Integrity.https://goto.bruker.com/LI-Bruker-HomePage

607-Kayaku Advanced Materials

Kayaku Advanced Materials, Inc. is composed of three distinct product lines: Specialty Chemicals including photoresists, optical dyes and ancillary materials for MEMS and Microelectronics; PriElex® Functional Inks & Coatings for Printed Electronics; and our Paratronix® brand of Conformal Coating Services & Equipment. We deliver the speed, responsiveness and solutions-orientation of an entrepreneurial organization backed by the strength and resources of our parent company, Nippon Kayaku. Our innovative material platforms, multi-industry experience, applications expertise, interdisciplinary perspective and credentials, and culture of collaboration make Kayaku Advanced Materials a preferred partner to technology startups and Fortune 50 companies alike.http://www.kayakuam.com/

812-Dockweiler Chemicals GmbH

When technology requires peak performance in tight spaces, innovative partners are essential. DOCK is the new generation of manufacturers for ultra-high purity compounds. As a science-driven company we are passionated in CVD/ALD precursor development from lab to fab. With our experienced R&D team we see any new precursor development as a challenge to be mastered. http://www.dockchemicals.com/

610-Taiyo Nippon Sanso

Taiyo Nippon Sanso's innovation group develops novel equipment and process solutions for compound semiconductor, additive manufacturing, and biomedical markets. https://www.tnsc-innovation.com/

402/404-KLA Corporation

KLA develops industry-leading equipment and services that enable innovation throughout the electronics industry. We provide advanced process control and process-enabling solutions for manufacturing wafers and reticles, integrated circuits, packaging and printed circuit boards. In close collaboration with leading customers across the globe, our expert teams of physicists, engineers, data scientists and problem-solvers design solutions that move the world forward. Visit us at: www.kla.com Statements made on LinkedIn may constitute forward-looking statements under federal securities laws. These forward-looking statements involve risks and uncertainties that could significantly affect the expected results and are based on certain key assumptions. Due to such uncertainties and risks, no assurances can be given that such expectations will prove to have been correct, and readers are cautioned not to place undue reliance on such forward-looking statements, which speak only as of the date indicated. Other risks that KLA faces include those detailed in KLA filings with the Securities and Exchange Commission, including KLA's annual report on Form 10-K and quarterly reports on Form 10-Q. Forward-looking statements made by third parties do not necessarily reflect the opinion of KLA, are outside of KLA’s control and have not been verified or otherwise vetted by KLA. http://www.kla.com/

503/505-Beneq

Beneq is the home of atomic layer deposition. In 1984, we established the world’s first industrial production using ALD. Today, we lead the market with products for R&D (TFS200, TFS500, R2), semiconductor device fabrication (Transform®, Transform® 300, and ProdigyTM), 3D and batch production (P400A, P800, P1500), ultra-fast spatial ALD (C2R), and roll-to-roll ALD (Genesis). Beneq’s unique Development Service simplifies customer adoption and proof-of-concept for new ALD processes, while our Coating Service cuts down time to market by outsourcing state of the art ALD production. Our team of engineers and experts is dedicated to making ALD tools accessible for researchers.http://www.beneq.com/

400-Denton Vacuum

Denton Vacuum enables innovation. From basic research to manufacturing—Denton Vacuum provides thin film deposition technology that delivers consistent, repeatable results through wide-process capability, ease-of-use, superior reliability, and global service and support. Denton Vacuum’s thin film deposition technologies provide superior performance in such applications as precision optics, lift-off & step coverage, dual-sided laser facet coating, indium for wafer level packaging, diamond-like carbon, metallization and medical coatings.http://dentonvacuum.com/

408-Adroit Materials Inc.

Adroit Materials is focused on the development and deployment of superior III-nitride technology for client-specific electronic and optoelectronic device applications.http://adroitmaterials.com/

508-Neutronix Quintel

Neutronix Quintel is comprised of mask aligners and photolithography specialists providing custom-engineered contact/proximity mask aligners. Rapid technological advances have fueled the remarkable progress in integrated circuit manufacturing. In this environment where change is the only constant, semiconductor manufacturers are continually searching for new ways to leverage their capital equipment investment. For over 35 years, NxQ has been widely recognized as the premier supplier of both mask aligners and photolithography systems. Manufacturers who need to increase capacity quickly have found that we are unmatched in our ability to deliver production-ready equipment at a highly competitive price. Equally as important, we are a full-service manufacturer, providing our customers with a single source for training, equipment maintenance, and parts replacement. To keep pace with customer demand, we recently expanded our manufacturing capability. Our new 32,000-square-foot facility located in Morgan Hill, California, is built around a core of innovative technology and processes. This underscores our commitment to broadening our product spectrum so that we can offer advanced solutions that successful companies need to become more productive and competitive. We have the people, technology, and systems necessary to meet that commitment.http://www.neutronixinc.com/

502-Ferrotec (USA) Corp.

Ferrotec provides customers with advanced technology solutions that make their products work better, more precisely, and more reliably. Founded in 1980 on a technology core of FerroFluid magnetic liquid and Ferrofluidic® sealing products, our company expands its product portfolio to meet the evolving needs of customers worldwide. We are a world-leading manufacturer, marketer, and distributor of advanced materials, components, and assembly solutions used in a wide range of end products, manufacturing systems, and industries. Ferrotec at a Glance: - Global Headquarters in Tokyo, Japan (USA Headquarters in Livermore, CA) - Regional Operation Centers in North America, Europe, Japan, China, and Singapore - Manufacturing Locations in the USA, Germany, Japan, and China For more information on our company or career opportunities at Ferrotec, visit our site at https://www.ferrotec.com/

210-Amtech

From mobile to computing, industrial, automotive, telecom, and medical, our products enable virtually everything around you. Founded in 1981, Amtech Systems is a trusted global supplier of essential semiconductor equipment and materials serving various industries within the power semiconductor market, including mobile, computing, industrial, automotive, telecom, medical, and more. Our diversified product portfolio includes capital equipment and related consumables for the entire range of semiconductor fabrication, advanced packaging and electronics manufacturing - starting with substrate processing and extending to electronics assembly. At Amtech Systems, we focus specifically on two high-growth sectors of the semiconductor market: Silicon Carbide and Power Semiconductors. Through our four wholly-owned subsidiaries (PR Hoffman, Intersurface Dynamics, Entrepix and BTU International) we manufacture and sell a wide range of essential semiconductor equipment and materials including diffusion furnaces, thermal processing products, wafer cleaning machines and lapping and polishing equipment and related consumables.http://www.amtechsystems.com/

606-JEOL USA

JEOL USA is a wholly-owned subsidiary of JEOL Ltd in Japan, a world leader in electron optical equipment and instrumentation for high-end scientific and industrial research and development. Core product groups include Scanning Electron Microscopes, Transmission Electron Microscopes, Microprobes, Auger, Electron Beam Lithography (Direct Write and Photomask),Mass Spectrometers (including DART and ambient ionization), NMRs and ESRs, also medical and industrial equipment for high caliber testing, measurement and analysis needs. A global network of locally managed subsidiary companies provide sales, technical application support, and service to local customers in more than 30 countries including established and emerging markets. Our customers are breaking barriers and solving problems in a wide variety of advanced scientific endeavors including nanotechnology, materials and life sciences, and environmental analysis. Our objective is to provide them with the most innovative solutions to achieve their goals.http://www.jeolusa.com/

805-StratEdge Corporation

StratEdge is a privately held company with worldwide headquarters in Santee, California, USA. Design, manufacturing, test, and assembly are located in the Santee Division's ISO 9001:2015 facility. StratEdge high performance semiconductor packages operate from DC to 63+ GHz for the high speed digital (OC-48, OC-192, OC-768), mixed signal, broadband wireless, satellite, point-to-point and point-to-multipoint, VSAT, and test and measurement industries.https://www.stratedge.com/

804-Aixtron

Leading provider of deposition equipment to the semi industry to produce devices as VCSEL, µLED, SiC & GaN-Power, GaN RFAIXTRON SE is a leading provider of deposition equipment to the semiconductor industry. AIXTRON’s business activities include developing, producing and installing equipment for the deposition of semiconductor and other complex materials, process engineering, consulting and training, including ongoing customer support and after-sales service. AIXTRON supplies its customers with both production-scale material deposition systems and small scale systems for Research & Development (R&D) or small scale production. With its leading enabling technologies to deposit complex materials, AIXTRON allows manufacturers to improve performance, yield and quality in the fabrication process of advanced microelectronic and optoelectronic devices.http://www.aixtron.com/

200-Camtek USA, Inc.

Camtek is a leading manufacturer of metrology and inspection equipment and a provider of software solutions serving the Advanced Packaging, Memory, CMOS Image Sensors, MEMS, RF and other segments in the Semiconductors industry. Camtek provides dedicated solutions and crucial yield-enhancement data, enabling manufacturers to improve yield and drive down their production costs. With eight offices around the world, Camtek has best-in-class sales and customer support organization, providing tailor-made solutions in line with customers’ requirements. Camtek's uncompromising commitment to excellence is based on performance, responsiveness and support.http://www.camtek.com/

201-Samco

Since the company was first founded in Kyoto, Japan by plasma technology pioneer Osamu Tsuji in 1979, more than 4,500 systems have been installed and used for repeatable results in cleanrooms across 35 countries. Our equipment and services are used in a range of industries, including MicroLEDs, Laser Diodes, VCSELs, SiC Power Devices, GaN RF Devices, BAW/SAW Filters, MEMS, and more. Production and R&D teams - working in automobile safety, biotechnology, renewable energy, and other high-tech fields - cannot afford to be without reliable process equipment and support. Samco's reliable systems, world-class recipe database and prompt service help you minimize downtime and boost cleanroom productivity while cutting costs at the same time. We give teams reliable tools and resources, enabling your business or organization to push the limits of modern thin-film technology. Unlike many of our competitors, Samco specializes in plasma technology with 45 years of experience. Our dedicated engineers and technicians work closely with your production and R&D teams, from assessing your specific challenges to developing customized solutions to installing the system in your facility. We also train your production staff and follow up with prompt service and support for the system's lifetime.https://www.samcointl.com/

410-Efab International Technology Co., Ltd.

Efab is a supplier of new and used MOVCD reactors, spare parts and related manufacturing technologies. Efab also offers used MOCVD reactors of all makes and models. Our line of manufacturing equipment is focused on MOCVD, solar, flat panel manufacturing, test and packaging. We also provide many new and re-manufactured spares for all MOCVD rectors. Efab can provide hardware and process support for engineering requirements of your MOCVD manufacturing requirements. Please visit our website at http://www.efab.com.tw and let us know if we can assist you with your manufacturing requirements for capital equipment. Or, if we can help you reduce your COO with our quality new, used and secondary SPARE PARTS for all MOCVD reactors.http://www.efab.com.tw/

507-Evatec NA Inc

Welcome to Evatec - The Thin Film Powerhouse From LEDs to Lasers, Power Chips to Packaging Level solutions for EMI shielding, our thin film deposition and etch systems enable manufacture of the worlds highest performance optical, optoelectronic and semiconductor devices. Our Advanced Process Control (APC) technologies enables new level of thin film performance and production yield. We offer complete process solutions in our core markets of Advanced Packaging, Power Devices, MEMS, Wireless Technologies, Optoelectronics and Photonics. Read more about process technologies and our range of deposition platforms to see how we use our know how across optical , optoelectronics and semiconductor markets to enhance throughput and drive down cost of ownership. "​ In-situ"​ capabilities including optical pyrometry, plasma emission monitoring, film stress , electrical property and broadband optical monitoring delivery optimum film performance and production yields for next generation devices.                       http://www.evatecnet.com                                

406-Laser Thermal Analysis

Advance Your Thermal Knowledge - Thermal Metrology Solutions to accelerate your next breakthrough Acquiring Small-Scale Thermal Properties Has Never Been Easy…Until Now. Thermal data at device scales are essential for innovation, but next-to impossible to obtain. Outside of the few academic labs in the country with thermo-reflectance capabilities, you have no options. These traditional methods for obtaining thermal property data rely on complicated optical table setups prone to error and generally not suitable for use in a commercial environment. Enter Laser Thermal. Laser Thermal’s turnkey steady-state thermoreflectance tool, SSTR-F (Steady-State Thermoreflectance in Fiber Optics), will bring your thermal conductivity and thermal resistance testing for materials, interfaces, thin films, and substrates in-house so you can develop better products, faster.https://laserthermal.com/

813-Pozzetta

Companies around the world trust Pozzetta to create secure environments for the handling, storage, and transport of various critical devices used in advanced high tech manufacturing. We offer a comprehensive range of photomask and wafer handling solutions. We protect your valuable products from particles, ESD damage, outgassed components, and high costs. Our dedicated manufacturing facility, material science expertise and R&D capabilities together with the submicron cleaning capability of Pozzetta Micro Clean, from one of the world's premier critical packaging providers.http://www.pozzetta.com/

211-Precitec Inc.

Precitec has been a successful solution provider in the field of laser material processing enabling OEM customers for 50 years. Smart laser cutting and welding systems are well-known and popular for their reliability and industrial suitability. Processing heads for laser cutting are available for all types of lasers, e.g. CO2, disc, fiber or direct-diode technology with different laser power and classes of accuracy. Equipped with non-contact and long-term stabilized distance and process sensor systems, these heads achieve brilliant cutting quality and performance. With the 'All-In-Light' Lasers, Precitec offers a unique and smart solution for the complete optical chain - from the laser and the laser light fiber to the cutting head. It makes disc laser technology, proven and appreciated in the industrial environment for many years, available for manufacturers of flatbed and tube cutting machines all over the world. New technologies will allow OEM customers to increase the cutting speed and quality. For laser joining, Precitec offers processing heads and automated quality control systems as integrated packages. Before the welding process, high-resolution cameras detect the position and geometry of the joint by using triangulation principle and gray scale value analysis to move the welding head to the exact point. In-process sensors and cameras then provide information on the welding process stability and any welding defects. Post-process cameras measure the geometry and the surface of the seam. Precitec customers are provided with comprehensive service around the clock and around the world. Trained Precitec service employees are based in 12 locations in Asia, Europe, and North America and ready to support OEM partners and their end customers.https://linktr.ee/PrecitecLaser

504-DOWA Electronic Materials Co.

DOWA Electronics Co., Ltd.'s business produces the world's top share product range with high added value. It is the three pillars of the semiconductor business, the electronic materials business, and the functional materials business.

In the semiconductor business, we handle Ga, in bunion, etc. in the material sector, gallium arsenic substrates in the compound sector, and in the opt department, infrared and deep ultraviolet LEDs. In addition, the electronic materials business deals with materials such as silver powder and silver oxide, and the functional materials business deals with magnetic materials such as magnetic recording materials, carrier powder, ferrite powder, reduced iron powder, and composite oxide powder (fuel cell materials).

http://dowa-electronics.co.jp/

509-Canon USA

Living and Working Together For The Common Good... - Kyosei Kyosei unites Canon and its employees in contributing to the prosperity of humanity and the protection of the world we share. As a leading provider of consumer, business-to-business, and industrial digital imaging solutions, our determination to live and work together for the common good permeates everything we do, from research and development, to product manufacturing, marketing, sales and distribution.https://canon.us/v9amj

205-Accel-RF

Accel-RF Instruments Corporation is the worldwide leader in integrated RF accelerated life-test/burn-in test systems for compound semiconductor devices which are used in the implementation of broadband wireless infrastructures and networks. Our fully automated turnkey systems and innovative desktop Test Characterization Platforms determine RF and DC performance degradation with aging to predict life expectancy. With a legacy that goes back to 1988, Accel-RF develops the equipment you need to reduce product development costs, ensure exceptional reliability, and increase the total sales income opportunity. Leveraging off of our expertise in RF device testing, Accel-RF has also developed a portfolio of compact desktop test fixture platforms that allows users to efficiently (and with a high degree of precision) perform numerous bench top test scenarios including reliability and screening to characterization and acceptance testing. The Accel-RF Self-Contained RF Characterization Platform is a standalone solution for bench top RF and Thermal Characterization. It allows for easy measurement of critical performance characteristics used for intrinsic reliability studies, performance degradation studies, or for parameter variation analysis while saving significant costs.http://www.accelrf.com/

302-Eurofins EAG Laboratories

When it comes to understanding the physical structure, chemical properties and performance of advanced materials and integrated circuitry, no other scientific services company offers the breadth of experience, diversity of analytical techniques or technical ingenuity of EAG Laboratories. We deliver multi-disciplinary, problem-solving expertise to help our customers accelerate innovation, ensure quality and safety, and protect intellectual property.

The world is experiencing a powerful and rapid convergence of science, technology and commerce. Great scientific minds are driving awe-inspiring commercial initiatives, and companies around the globe are seeking the insight and competitive advantage that advanced science can provide. EAG is at the forefront of this revolution–one that is changing the way products are developed, designed, manufactured and used by millions of people around the planet.

Whether you are seeking to speed time-to-market, solve manufacturing problems or ensure regulatory compliance, turn to EAG. We know how to bring the power of science to every phase of your product lifecycle.

* Product Innovation & Improvement
* Investigations & Troubleshooting
* Quality Assurance & Quality Control
* Regulatory Compliance
* Consulting & Litigation Support
* Manufacturing/Supply Chain Support
* Product Innovation & Improvement
* Investigations & Troubleshooting
* Quality Assurance & Quality Control
* Regulatory Compliance
* Consulting & Litigation Support
* Manufacturing/Supply Chain Support

https://www.eag.com/

506-Mitsuboshi Diamond Industrial Co.

We are an R&D-oriented company that specializes in the development of advanced processing technologies for brittle materials used in semiconductor and electronic component materials such as glass, ceramics, SiC and GaN. Using originally developed tools and laser units, we propose the most suitable processing methods and equipment according to material properties and applications. We are further evolving the technology we have accumulated through cutting equipment for flat panel displays (FPDs) such as LCDs and plasma displays, and are taking on the challenge of new fields such as electronic components, semiconductors, and renewable energy, which are expected to grow significantly in the future.https://www.mitsuboshidiamond.com/

309/311-CSconnected

Wales is home to CSconnected, the world’s first global centre of excellence for Compound Semiconductor technologies, a unique eco-system of advanced technology capabilities leading the way in applied research, collaborative innovation and world-class volume production facilities. CSconnected is playing a key role in positioning Wales and the UK at the forefront of bringing new and emerging technology applications to global markets.http://www.csconnected.com/

303-Centrotherm

Thermal production solutions and coating technologies are among centrotherm's core competencies. For more than 70 years we have been developing and implementing production concepts for a constantly growing international customer base. In addition to growth sectors such as the semiconductor and microelectronics industry, as well as photovoltaics, our innovative solutions are also being applied in other future-oriented fields such as carbon fiber production. As a leading, globally active technology group, we work closely with partners from industry and research. We improve existing production concepts and set new trends. In this way, we generate valuable competitive advantages for our customers. Around 550 employees worldwide are working to shape the future - GREEN | SMART | EFFICIENT.http://www.centrotherm.de/

807-CS CLEAN SOLUTIONS Inc

POINT OF USE SCRUBBER - WORLD LEADER AND EXPERT Since 1986 CS CLEAN SOLUTION has supplied point of use scrubbers to over 6000 customers worldwide. Safety, environment, and reliability are our primary focus. We have specific experience and expertise in Semiconductor, Photovoltaic and laboratory applications. With the heightened awareness and control of water and power usage CS Clean granulate-based abatement is the perfect solution. CLEANSORB – GRANULATE-BASED ABATEMENT – State of the Art Technology CLEANSORB products are designed to offer full-featured gas abatement technology from demanding production environments to laboratory applications. CLEANSORB abatement systems remove hazardous process gases. CLEANSORB systems are fully passive, and are permanently on stand-by, even in the event of a power failure or other facility interruption. A wide range of CLEANSORB model sizes are available to meet the needs of all of our customers.http://www.cscleansolutions-usa.com/

706-Oxford Instruments

Oxford Instruments is a leading provider of high technology tools and systems for research and industry. We design and manufacture equipment that can fabricate, analyse and manipulate matter at the atomic and molecular level. Our purpose is to accelerate the breakthroughs that create a brighter future for our world.https://www.oxinst.com/

708-Tresky GmbH

Since 1980, Tresky has been supplying the micro- and opto- electronics industry with innovative component placers and die bonders. Tresky designs and manufacture high quality equipment for : Die Attach, SMT, Hybrid, MCM, COB, Flip-Chip, Optoelectronic, Microsystemtechnic, and many more...http://www.tresky.de/

101-Trymax USA, Inc

Trymax Semiconductor Equipment is an innovative plasma-based company designing, manufacturing and marketing state of the art equipment solutions for ashing, descum, surface preparation, light etching as well as UV photoresist curing and charge erase. With a product portfolio ranging from 100mm wafer size to 300mm and an installed base of 250+ systems, Trymax has significant market shares at foundries, IDMs, and Wafer Level packaging houses for end applications in Power Semiconductors, RF, Analog, Automotive, MEMS, LED, and CMOS. Recognized for its reliability, low cost of ownership, and performances, Trymax continuously innovates to support its customer’s needs. Trymax is headquartered in the Netherlands and operates local offices in Italy and China.ve erase. With a product portfolio ranging from 100mm wafer size to 300mm and an installed base of 250+ systems, Trymax has significant market shares at foundries, IDMs, and Wafer Level packaging houses for end applications in Power Semiconductors, RF, Analog, Automotive, MEMS, LED, and CMOS. Recognized for its reliability, low cost of ownership, and performances, Trymax continuously innovates to support its customer’s needs. Trymax is headquartered in the Netherlands and operates local offices in Italy and China.http://www.trymax-semiconductor.com/

207-SPS-America

At SPS, we power innovation in semiconductor and life science markets, creating tailored solutions to optimize production processes. With over 35 years of industry experience, our expertise spans wafer handling, wet process equipment, photolitho, CMP and more. Through our three expert brands - esPRO, POLOS, and WHS - we offer an array of products designed for superior performance and reliability. We collaborate closely with our clients, co-developing products to set industry trends and drive mutual success. http://www.sps-international.com/

111-AXT

AXT designs, develops, manufactures and distributes high performance compound and single element semiconductor substrates comprising gallium arsenide, indium phosphide and germanium through its manufacturing facilities in Beijing, China. In addition, AXT maintains its sales, administration and customer service functions at its headquarters in Fremont, CA.http://www.axt.com/site/index.php?q=node/1

306-OAI

Affordable, thoughtfully engineered solutions for MEMS and Microfluidics, PV/Solar Technologies, Semiconductor and Nanotechnology, Large Area Exposure Systems, Lithography, Meters, Probes and Resistivity Characterization are at the core of our brand Customers quickly learn that OAI is unique among the competitors in their field. OAI understands that there is a critical difference between merely offering a piece of capital equipment for sale and actually providing a highly functional solution based on the customer's unique requirements. With this distinction in mind, the company has built its reputation by forming ongoing, collaborative customer relationships––the length of some is measured in decades. Cost-effective, affordable solutions are the hallmark of the OAI brand. Based upon its expertise in producing equipment, OAI has developed a time-tested platform of modularized subsystems, which can be adapted to become the core of a custom configured system. This approach controls cost, improves reliability, increases system flexibility, and ultimately reduces the overall cost of ownership. We invite you to contact an OAI Solutions Engineer today and learn how we can solve your toughest manufacturing challenge efficiently and economically. From R&D tools to full production solutions, OAI will partner with your team every step of the way.http://www.oainet.com/

202-Hermes-Epitek Silicon Valley Inc.

Hermes-Epitek Silicon Valley Inc. USA (HESV) is a wholly owned subsidiary of Hermes-Epitek Corp. (Taiwan). The company was founded in 1977. Starting from a semiconductor equipment agent, we have been extending deep roots in the Si and compound semiconductor technologies. Over the 48 years, Hermes-Epitek has been supporting customers with our partners. Our compound semiconductor product portfolio includes ICP Etcher, MOCVD, Coater Developer, E-Beam Evaporator, RTP and SiC wafer manufacturing. We also offer high quality parts and assemblies such as chiller, temperature/humidity control for lithography, thermocouple wafer, vacuum rotary feedthrough, quartz ware as well as legacy ion implanter upgrade solution etc. Our locations are in Taiwan, U.S.A., Japan, Singapore, Malaysia, and China, with more than 1200 employees worldwide. For more information about our company, products and services, please visit us at booth#202https://www.hermes.com.tw/en/

413-SUSS MicroTec Inc.

With more than 70 years of experience, SUSS is one of the world's leading manufacturers of equipment and process solutions for microstructuring in the semiconductor industry and related markets. Our portfolio includes a wide range of products and solutions for back-end lithography, wafer bonding and photomask cleaning.https://www.suss.com/

307-Lam Research

Lam Research Corp. (NASDAQ:LRCX) At Lam Research, we create equipment that drives technological advancements in the semiconductor industry. Our innovative solutions enable chipmakers to power progress in nearly all aspects of modern life, and it takes each member of our team to make it possible. Across our organization, our employees come to work and change the world. We take on the toughest challenges with precision and accuracy. We push for the next big semiconductor breakthrough. We lead the way in one of the most critical and fast-moving industries on the planet. And we do it together, with deep connections and limitless collaboration. We take on the toughest challenges with precision and accuracy. We push for the next big semiconductor breakthrough. We lead the way in one of the most critical and fast-moving industries on the planet. And we do it together, with deep connections and limitless collaboration. The impact we have on the world is made possible by focusing on our people. We recognize and celebrate our teams’ achievements. We strive to create an inclusive and diverse culture where everyone’s contribution and voice has value. We evaluate and evolve our offerings, so our people receive the support and empowerment to do meaningful things for their lives, careers, and communities. Because at Lam, we believe that when people are the priority and they’re inspired to unleash the power of innovation for a better world together, anything is possible.http://www.lamresearch.com/

208-Nada Technologies, LLC

Get the performance and quality you demand with NADA Technologies, Inc. (NADAtech) wafer automation equipment. Designed to work with virtually all substrates across all semiconductor markets, you can now achieve improved yield, advanced traceability, and a quicker ROI with innovative inspection and metrology enhancements. You can count on NADAtech to build specialized wafer sorter tools that no other competitor can provide. Delivering the state-of-the-art functionality that your organization requires to stay competitive, our sorters work with 50mm to 300mm wafer sizes and can handle all wafer types. Include our Sorter+ Options and you can achieve speeds ranging from 325WPH to 850WPH while also running inspection and metrology tests. Each system is highly configurable from the number of ports to application specific options, including high speed sorting, thin wafer, and precision inspection and metrology capabilities. Dual and triple functionality can be engineered into each system with NADAtech’s Sorter+ Inspection and Metrology Options. Find and measure defects on wafer surfaces and edges using a variety of applications specially designed to identify issues early in the production process. Sorter+ Options include bright light inspection, automated optical inspection, wafer thickness and much more. NADAtech has also developed innovative pre and post bond wafer inspection metrology and process equipment. These unique tools for bond room applications will increase your throughput while greatly reducing the rework loop. Solutions include inspections for macro defects, eutectic and fusion bonds; measurements for frit overlays, glass and bond seals; bonder alignment and metrology, and more. Delivering advanced technology with stellar customer service, you can count on NADAtech to provide innovative solutions to your demanding automation requirements. Simply put, we help you get the most out of your wafer sorting tools.https://nadatech.com/

707-Eumetrys

EUMETRYS is offering turn-key solutions for Equipment and Services in the Semiconductor industry: MEMs, Semiconductor, Compound Semiconductor factories are our core customers. 💡Recognized experts, we are partnering with a renowned number of actors in the semiconductor industry such as ST Microlectronics, Infineon, Bosch, GlobalFoundry, Nexperia : we are your Fab’s partner. ✅ And, since we are oriented customer-satisfaction first, committed, agile, and adapting our activities to the needs of our fast-evolution industry: you can rely on us in offering you innovative tailor-made solutions. 🇪🇺 Covering the major Fabs in Europe, you too, trust our expertise in equipment & services for: - Spare parts & consumables for CMP, CVD, ETCH, PVD, IMPLANT & Metrology - Robotics maintenance & supply, ISO9001 certified, 100% OEM parts - Maintenance and supply of Robots, preAligners and their controllers - Wafer Handling Equipment: SORTERs - Cleaning equipment for FOUP - Optical metrology equipment and spare parts - Particle inspection equipment and spare parts TOGETHER, LET’s IMPROVE your PRODUCTIVITY & PERFORMANCE at a BALANCED COST!http://www.eumetrys.com/

710-Air Liquide-Balazs NanoAnalysis

Balazs NanoAnalysis provides accurate, timely analytical services to the semiconductor, photovoltaic, optoelectronic, disk drive, pharmaceutical, chemical, aerospace, power and other industries. We analyze ultra pure water, source water and chemicals; equipment and components; thin films and bare wafers; wet stations; and anything in the cleanroom including gases and the air. We test for trace level metals, ions, particles, outgassing and organics. Balazs is committed to absolute quality control of processes and products. Balazs NanoAnalysis laboratories are located in: Dallas, TX; Fremont, CA; and Paris, France.http://www.balazs.com/

305-Kashiyama

Kashiyama is a leading manufacturer of vacuum pump equipment, serving the semiconductor industry and various other sectors. Renowned for the robustness and reliability of our products, we consistently deliver top-quality solutions that exceed industry expectations. Our cutting-edge, energy-saving technology enhances the efficiency of our vacuum pump systems and contributes to a more sustainable future. At Kashiyama, we are dedicated to providing dependable, innovative solutions that empower our clients to excel in their respective fields.http://kashiyama.com/

709-STR US

STR provides specialized software and consulting services for modeling of crystal growth, epitaxial process, and operation of semiconductor devices. A comprehensive research stays behind every consulting activity and software product which enables careful validation of physical models and approaches applied. STR’s expertise in the crystal growth science and device engineering is presented in variety of publications in the peer-reviewed journals. Four product lines are being developed and promoted by STR: - Crystal growth from the melt and solution; - Bulk crystal growth from the gas phase; - Deposition and epitaxy; - Optoelectronic devices. Software packages: CGSim - Cz, DSS, Kyropoulos, Bridgman crystal growth from the melt (Si, m-Si, UMG-Si, GaAs, InP, SiGe, Ge, Sapphire, YAG, and more) PolySim - polysilicon deposition by Siemens process CVDSim - epitaxy of compound semiconductors (Si, SiC, III-V, III-Nitride) Virtual Reactor - bulk crystal growth of SiC, AlN, GaN by PVT and HVPE SimuLED - optoelectronic and electronic devices (LEDs/LDs, FETs, Schottky diodes) STREEM - stress buildup and relaxation in the AlGaN and InGaN based epitaxial stacks Over 170 industrial companies and academic institutions worldwide are the end-users of STR software. The customer base includes top material and equipment manufacturers. More than 40 scientists and software engineers in USA, Japan, and Europe. Local representatives in China, Korea, and Taiwan.http://www.str-soft.com/

711-NTT Advanced Technology Corporation

Integrated-Value Provider - Transforming technology into added value that benefits our customers ur mission is "to serve society through technology". This means harnessing advanced technologies, making them easy to use, and delivering them to our customers. NTT-AT is an Integrated-Value Provider.http://www.ntt-at.com/

811-Insaco Inc.

Insaco is a precision machining company that fabricates parts from all technical ceramics, sapphire, glass and quartz. Since 1947, Insaco has been synonymous with excellence in the development and production of high precision machined parts. As a custom fabricator of ceramic, glass, sapphire, and other hard materials, Insaco specializes in machining parts that often require tolerances measured in millionths of an inch, and wear properties that satisfy even the most demanding applications. For over 70 years Insaco has been earning customer trust by fabricating custom parts from ultra-hard materials like sapphire, alumina, zirconia and silicon carbide. Our concentrated expertise and focus is what makes us unique. No metals or plastics, just ultra hard materials. We’re capable of producing parts with difficult features and to extreme tolerances. The markets we serve are wide and diverse; from aerospace and defense through to medical, semiconductor, instrumentation and process industries. All having one factor in common; our unwavering commitment to quality and excellence. Our company operates at the very highest quality standards and we hold ISO 2000 compliance and are ITAR Registered. Insaco engineers are available to discuss practical alternatives for your application including alternative materials and cost drivers. If you need difficult parts custom made from technical ceramics, sapphire or quartz, call 215-536-3500 to discuss your drawing or concept. Or visit us at www.insaco.com for more information including available material properties with an Interactive Designer's Guide.http://www.insaco.com

304-Sumitomo Chemical Advanced Technologies

On April 1, 2017, Sumika Electronic Materials officially changed our name to Sumitomo Chemical Advanced Technologies. The name change reflects our expanding product offering, affiliation with Sumitomo Chemical's global network, and dedication to offering high-quality advanced technology solutions to our customers. electronic components). Sumitomo Chemical Advanced Technologies is a wholly owned subsidiary of Sumitomo Chemical Co. Ltd. located in Phoenix Arizona. This year we are celebrating our 15th anniversary. Our core competencies have always been in Manufacturing, Development, and Sales of Epitaxial Wafers, Engineering Plastics, and Photoresist Chemicals. However, in the last few years, we have expanded into Manufacturing and Sales of Wet Chemistry, Polarizing Film, OLED, High Purity Alumina, Resorcinol, and Penacolite Resins. Epitaxial Manufacturing Compound semiconductors such as GaAs are increasingly used in a variety of commercial applications including wireless communications, 3D imaging/sensing, fiber optic communications, and solar energy conversion. We manufacture custom epitaxial wafers using MOCVD (Metalorganic Chemical Vapor Deposition) in our state-of-the-art facility in Phoenix, AZ. Our current focus is on the growth of epitaxial wafers for optoelectronic devices including light emitting diodes (LEDs) and vertical cavity surface emitting lasers (VCSELs). Our Facility Our 50,000-square foot facility includes over 6,000 square feet of clean room space to produce gallium arsenide epitaxial wafers for the Compound Semiconductor market worldwide. In addition to our multiple MOCVD epitaxial growth systems, we have a full complement of materials characterization tools along with a small device fabrication facility which are used to guarantee conformance to customer specifications. We have several quality management certifications including ISO-9001 (quality), ISO-14001 (environmental), and OHSAS-18001 (safety).http://sumichem-at.com/

204-MOSIS 2.0 Prototyping Service

MOSIS was one of the earliest and successful implementations of electronic commerce via the Internet (pre WWW) receiving designs via electronic mail and then providing access via the “Web” and “MOSAIC” commencing in 1993.

In the almost 40 years of service, The MOSIS Service has had over 50 US Government laboratories and agencies, 800 domestic and foreign colleges and Universities, and over 100 commercial companies submit designs for fabrication.

The University of Southern California through The MOSIS Service is committed to providing value add services to the microelectronics community into the future.

In 2024, MOSIS evolved into MOSIS 2.0 as a core component of the Defense Ready Electronics and Microdevices Superhub (CA DREAMS), one of eight regional innovation hubs established under the Department of Defense Microelectronics Commons Program through the CHIPS and Science Act of 2022. Building on our 40+ year legacy of providing Multi-Project Wafer (MPW) services, MOSIS 2.0 vastly expands its capabilities by offering comprehensive semiconductor prototyping solutions through an extensive network of partners, including seven university nanofabs, three DOD-volume fabs, and seven commercial foundries. Through a focus on standardization and unified process control measures the service can now provide access to over 350 tools and 35+ MPW supports, handling everything from "coupon-size" to 300mm wafers with features below 7nm. Through its Prototype Integration and Engineering Service (PIES) team, MOSIS 2.0 offers end-to-end support including rapid prototyping, design assistance, fabrication services, and testing, while serving three distinct customer segments: academic institutions, Department of Defense entities, and commercial companies. Lastly, the service maintains a strong focus on education, offering training programs, seminars, and workshops.https://www.mosis2.com/about-us

206-Time Tech Spectra USA

Time Tech Spectra USA is a leading innovator in scientific instrumentation and semiconductor material inspection. With our proprietary technologies, we empower the entire industrial chain from fundamental research to mass production.

Our core products have successfully disrupted traditional market monopolies, now serving research institutions and semiconductor manufacturers across the globe. At the heart of our innovation lies advanced spectroscopic technology, driving our dual-focused strategy in both research-grade and industrial-grade solutions.https://www.timetechna.com

100-Toho Technology Inc.

With more than 200 years of service and commitment, Toho has become a trusted name in manufacturing and technology. Today, Toho has offices and distributors in more than 10 countries, delivering innovative solutions to hundreds of customers around the world in a variety of markets.https://www.tohotechnology.com/

103-Sono-Tek Corporation

Sono-Tek Corporation (Nasdaq: SOTK) is a global leader in the design and manufacture of ultrasonic coating systems that are shaping industries and driving innovation worldwide. Our ultrasonic coating systems are used to apply thin films onto parts used in diverse industries including microelectronics, alternative energy, medical devices, advanced industrial manufacturing, and research and development sectors worldwide. Sono-Tek’s bold venture into the clean energy sector is showing transformative results in next-gen solar cells, fuel cells, green hydrogen generation, and carbon capture applications as we shape a sustainable future. Our product line is rapidly evolving, transitioning from R&D to high-volume production machines with significantly higher average selling prices, showcasing our market leadership and adaptability. Our comprehensive suite of thin film coating solutions and application consulting services ensures unparalleled results for our clients, and helps some of the world’s most promising companies achieve technological breakthroughs and bring them to the market. The company strategically delivers its products to customers through a network of direct sales personnel, carefully chosen independent distributors, and experienced sales representatives, ensuring efficient market reach across diverse sectors around the globe. Our growth strategy is focused on leveraging our innovative technologies, proprietary know-how, unique talent and experience, and global reach to further develop microscopic coating technologies that enable better outcomes for our customers’ products and processes.https://www.sono-tek.com/contact/

809-Natcast

The National Center for the Advancement of Semiconductor Technology, or Natcast, is a new, purpose-built, non-profit entity created to operate the National Semiconductor Technology Center (NSTC) consortium, which will be established as provided for in the CHIPS Act of the U.S. government. The NSTC, as a key component of the CHIPS and Science Act, will be a public-private consortium dedicated to semiconductor R&D in the United States. The NSTC will convene the U.S. government, allied and partner nations, and organizations across the semiconductor ecosystem—including academia and businesses of all kinds—to address the most challenging barriers to continued technological progress in the domestic semiconductor industry, including the need for a capable workforce. The NSTC reflects a once-in-a-generation opportunity for the U.S. to drive the pace of innovation, set standards, and re-establish global leadership in semiconductor design and manufacturing. The mission of the NSTC is to serve as the focal point for research and engineering throughout the semiconductor ecosystem, advancing and enabling disruptive innovation to provide U.S. leadership in the industries of the future.https://www.natcast.org/

106-ElectraMet

ElectraMet’s revolutionary technology is ideal for copper capture and recovery in microelectronics manufacturing including semiconductor and optimizing black mass refining for lithium-ion battery recycling by removing impurities and improving target metal purity. Our solution can benefit medical device manufactures, aerospace and aeronautics, electronics waste recyclers, and high-value metal finishers. ElectraMet eliminates complicated chemical processes where coagulants, flocculants, and pH adjustments are used, eliminating sludge production associated with metal treatment and thereby reducing the sludge handling labor required and associated hauling and disposal fees. The electrochemical process doesn’t require the holding tanks and dwell time, so the footprint is greatly reduced compared with traditional, outdated treatment options. The process is automated and provides real time analytics that confirm process control, leading to a simpler process that is easy to execute and reduces reliance on staff. ElectraMet makes use of tailored carbon electrode technology to accomplish highly specific metals removal for a variety of contaminants. Dissolved metals, like copper, that can be recovered and recycled, are produced as a high-grade copper plate. Copper recovery and recycling can become a new income stream and create a circular economy. ElectraMet systems are easily scalable based on the size of your operation and the specific needs at your location.http://www.electramet.com/

810-NCSU CLAWS

The regional hub, “Commercial Leap Ahead for Wide Bandgap Semiconductors,” or CLAWS, led by North Carolina State University, includes one university partner, N.C. A&T State University, as well as six industry partners: MACOM, Coherent Corp., General Electric, Bluglass, Adroit Materials and Kyma Technologies, Inc.

The funding is part of $238 million invested through the “Creating Helpful Incentives to Produce Semiconductors (CHIPS) and Science Act” for the establishment of eight Microelectronics Commons regional innovation hubs spread across the United States.

Wide bandgap semiconductors offer higher voltage and temperature capacity than traditional silicon chips. They are used in power electronics, but also in RF and wireless devices for communications and radars, as well as photonic devices for sensing, communications, artificial intelligence, and future quantum technology applications. The hub will also explore next-generation ultra-wide bandgap materials with even greater voltage and temperature capabilities, including diamond and gallium oxide electronics.https://claws.ncsu.edu/about/

102/104-Media

A to L Exhibitors
M to Z Exhibitors