2024 Exhibit Floorplan

Click on the highlighted booth to see the company exhibiting at that booth.

Note: Positions of the bar and buffet are approximate and might change to accommodate final food and beverage setup.

2024 Exhibit Floorplan
116- Virginia Diodes, Inc. 316-Brewer Science, Inc. 310-Veeco 299-Accel-RF 415-Intelligent Epitaxy Technology 300/399-PlasmaTherm 400-Annealsys 303-Sumitomo Chemical Advanced Technologies 510/609-Coherent 499-ECM-USA 410-AXT, Inc. 200-C&D Semiconductor 309-Burkert Fluid Control Systems 409-Trymax USA, Inc 306-SOMOS IWT, Inc. 500-Camtek USA, Inc. 406-EV Group, Inc. 305-STR US, Inc. 416-Pozzetta 100-HORIBA 615-Rena Technologies North America 515-LayTec AG 302-Roxtec 216-k-Space Associates, Inc. 199-StratEdge Corporation 506-SPS-America, Inc 514/516-ePak 206-Center for Semiconductor Manufacturing at The University of Arizona 505-Laser Thermal Analysis 205-Denton Vacuum 301-JEOL USA, INC 315-Insaco, Inc 209-Bruker 401/403-SEMILAB 503-Disco Hi-Tec America, Inc 413-RSC 201-Ferrotec (USA) Corp. 313-Matsuda Sangyo Co., Ltd. 204-Neutronix-Quintel 314-Kayaku Advanced Materials 511-Aixtron Inc. 212-Amtech Systems 404-DOWA Electronics Materials Co., Ltd. 412/414-Wolfspeed 411-Raith America, Inc. 215-Samco, Inc. 513-ProTec Carrier Systems GmbH 312-Freiberger Compound Materials 402-ZEISS Microscopy 502/504-KLA Corporation 214-Oxford Instruments 211/213-Beneq 512-CS CLEAN SOLUTIONS Inc 304-JST Manufacturing 110-SUSS MicroTec Inc. 601/603-Precitec Inc. 613-4Dimensions 501-Centrotherm 311-CVD Equipment Corporation 202-CSconnected 611-CTW Solutions 599-Engis Corporation 114-Vacuum Engineering & Materials Co., Inc. 203-SIGMAPHI 102-Evatec NA Inc 112-Brooks Instrument 104-Forge Nano

116- Virginia Diodes, Inc.

VDI manufactures state-of-the-art test and measurement equipment for mm-wave and THz applications. These products include Vector Network Analyzer, Spectrum Analyzer and Signal Generator Extension Modules that extend the capability of high performance microwave measurement tools to higher frequencies. VDI's component products include detectors, mixers, frequency multipliers and custom systems for reliable operation at frequencies between 50 GHz and 2 THz. All VDI components include in-house fabricated GaAs Schottky diodes and microelectronic filter structures.

https://www.vadiodes.com/en/?gclid=EAIaIQobChMInIfC3JHPgwMVSZxaBR28swL5EAAYASAAEgLC9vD_BwE

316-Brewer Science, Inc.

Science is a global leader in developing and manufacturing next-generation materials and processes that foster the technology needed for tomorrow. Since 1981, we’ve expanded our technology portfolio within advanced lithography, advanced packaging, smart devices, and printed electronics to enable cutting-edge microdevices and unique monitoring systems for industrial, environmental, and air applications. Our relationship-focused approach provides outcomes that facilitate and deliver critical information. Our headquarters are in Rolla, Missouri, with customer support throughout the world. We invite you to learn more about Brewer Science at www.brewerscience.com.https://www.brewerscience.com/?_vsrefdom=adwords&gclid=EAIaIQobChMIoZK9mZPPgwMVvJxaBR0VxgLLEAAYASAAEgJ3W_D_BwE

310-Veeco

Veeco designs, manufactures and markets thin film process equipment that enables high-tech electronic device production and development all over the world. https://www.veeco.com/

299-Accel-RF

Accel-RF, a leading RF and power semiconductor reliability test innovator, is now part of the STAr Technologies global family of companies. Founded in 2003, with cumulative experience based on decades of microwave circuit design, RF component reliability testing and comprehensive reliability test methodology development, Accel-RF has helped to facilitate industry adoption of compound semiconductor transistors and MMICs into space, military and commercial wireless markets. It has supplied reliability test systems to top-tier semiconductor and aerospace defense users throughout the USA, Europe and Asia. Accel-RF is the only provider of fully integrated, scalable, turnkey systems that provide dynamic, multi-dimensional, RF, DC and temperature tests on one platform through a graphical user interface, in a small footprint. Accel-RF testing solutions decrease product development time, ensure exceptional reliability, and accelerate income opportunities. https://accelrf.com/

415-Intelligent Epitaxy Technology

Intelligent Epitaxy Technology, Inc. IntelliEPI is a leading "Pure Play" epi supplier of GaAs, InP, GaN and GaSb based epitaxy materials for electronic/optoelectronic industries. Key products include HEMTs, HBTs, Photodetectors, VCSELs, Lasers/LEDs QW-based and Quantum/Interband Cascade and Type II SLS Infrared Detector for Focal Plane Array applications.https://intelliepi.com

300/399-PlasmaTherm

Plasma-Therm is a global manufacturer of advanced plasma processing equipment. Its tools and processes are used to support manufacturing needs in etch, deposition, rapid thermal processing, and plasma dicing technologies. The company serves the semiconductor and compound semiconductor industries in developing solutions for the wireless, power device, MEMS, photonics, advanced packaging, and data storage markets. With locations in North America, Europe, and Asia-Pacific, Plasma-Therm meets the diverse needs of its customers with exceptional customer service.  https://www.plasmatherm.com

400-Annealsys

With 1000 machines, worldwide Annealsys is a leading manufacturer of Rapid Thermal Processing (RTP) systems. We are pushing the limits of rapid thermal annealing with cold wall chamber technology, high temperature (2000°C), high vacuum capabilities, fast cooling and pulse annealing. We cover applications from low temperature for InP up to high temperature for SiC and GaN with a perfect control of the temperature and other process parameters. Our Direct Liquid Injection deposition tools have been developed for deposition of complex oxides and 2D materials. Our philosophy involves building up a long-term relationship with our customers and offering outstanding customer support.https://www.annealsys.com

303-Sumitomo Chemical Advanced Technologies

Sumitomo Chemical Advanced Technologies, a solely owned subsidiary of Sumitomo Chemical, distributes IT-related chemicals and engineering plastics to the NAFTA region as well as producing epitaxial wafers at its facility in Phoenix, Arizona.https://sumichem-at.com/about-us/

510/609-Coherent

Coherent empowers market innovators to define the future through breakthrough technologies, from materials to systems. We deliver innovations that resonate with our customers in diversified applications for the industrial, communications, electronics, and instrumentation markets. Headquartered in Saxonburg, Pennsylvania, Coherent has research and development, manufacturing, sales, service, and distribution facilities worldwide. https://www.coherent.com

499-ECM-USA

As a vacuum furnace manufacturer subsidiary, ECM USA’s mission is to provide you high quality vacuum furnace systems for a diverse range of industrial and commercial to lab and R&D heat treat applications (vacuum carburizing, vacuum carbonitriding, brazing, sintering, VIM/VAR, MIM, rapid thermal processing/annealing, ageing, chemical vapor deposition, etc), services and aftersales for ECM Technologies™ products in North, Central and South America. Our vacuum furnace systems are built using experienced engineering, advanced manufacturing and installation expertise, and specifically designed to provide high up-time to demanding production environments all over the world – especially in the Automotive and Aerospace manufacturing markets. ECM-USA

410-AXT, Inc.

VGF Strength. Performance. Innovation.

AXT is a material science company that develops and manufactures high-performance compound and single element semiconductor wafer substrates comprising indium phosphide (InP), gallium arsenide (GaAs) and germanium (Ge). The company’s wafer substrates are used when a typical silicon wafer substrate cannot meet the performance requirements of a semiconductor or optoelectronic device. End markets include 5G infrastructure, data center connectivity (silicon photonics), passive optical networks, medical/well-being testing, LED lighting, lasers, sensors, power amplifiers for wireless devices and satellite solar cells. AXT’s worldwide headquarters are in Fremont, California and includes sales, administration and customer service functions. AXT’s subsidiary in China (“Tongmei”) has similar functions as well as manufacturing facilities to produce wafer substrates.http://www.axt.com/site/index.php?q=node/25

200-C&D Semiconductor

C&D Semiconductor is a Leading US Domestic Provider for Semiconductor Manufacturing Equipment including Photoresist Coaters and Developers, and other wafer processing tools. C&D's vertically integrated product lines allow for complete customization of software and hardware to fit any scale of operation from small R&D facilities to high-volume production foundries. Our complete engineering team allows full software and hardware customization of any process tool, and modular tool design allow addition of capabilities and technological advantages to your process. Our factory and headquarters is 100% located in San Jose, CA, where all of our lines of tools are fully assembled and shipped.https://www.cdsemi.com/

309-Burkert Fluid Control Systems

The leading partner in fluid control systems

For 70 years, we at Bürkert have been devoting a lot of time and energy to liquids and gases.

https://www.burkert-usa.com/en/company-career/Company/About-Buerkert/the-leading-partner-in-fluid-control-systems?n=1

409-Trymax USA, Inc

Trymax, your partner for plasma

Our core business is to support semiconductor manufacturers throughout the world with innovative plasma-based solutions for ashing, descum, surface preparation, isotropic etch, photoresist curing and charge erase that are used in the fabrication of integrated circuits.

Trymax Semiconductor Equipment designs, manufactures, and markets its own NEO equipment. A wide range of different NEO platforms are available, from single chamber semi-automatic tools, through to multi chamber high volume manufacturing platforms. Trymax offers a number of different plasma technology chambers which are configurable across all NEO platforms. This enables Trymax to offer an extremely wide range of different process capabilities to meet customer’s requirements.

Trymax is a privately held company. It has been on operation since 2003 and has its registered headquarters in Nijmegen, the Netherlands. We are ISO-9001 accredited and operate on a global basis, supporting our customers who operate across Europe, Asia and America.

https://www.trymax-semiconductor.com/about-trymax/

306-SOMOS IWT, Inc.

SOMOS IWT is a solutions provider specializing in double-sided fine grinding, lapping and polishing machines as well as diamond wire slicing equipment for both single-wire and multi-wire applications.

https://www.somos-iwt.com/

500-Camtek USA, Inc.

amtek is a leading developer and manufacturer of high-end inspection and metrology equipment for the semiconductor industry.
Camtek’s systems inspect and measure wafers throughout the production process of semiconductor devices, covering the front and mid-end, and up to the beginning of assembly (Post Dicing Inspection and Metrology).
Camtek’s systems inspect wafers for the most demanding semiconductor market segments, including Advanced Interconnect Packaging, Memory, CMOS Image Sensors, MEMS and RF, serving the industry’s leading global IDMs, OSATs and foundries.
Camtek’s world-class sales and customer support infrastructure is organized around eight subsidiaries based in the US, Europe, Japan, China, Hong Kong, Taiwan, Korea and Singapore.

https://www.camtek.com

406-EV Group, Inc.

EV Group (EVG) is a leading supplier of high-volume production equipment and process solutions for the manufacture of semiconductors, MEMS, compound semiconductors, power devices and nanotechnology devices. A recognized market and technology leader in wafer-level bonding and lithography for advanced packaging and nanotechnology, EVG’s key products include wafer bonding, thin-wafer processing and lithography/nanoimprint lithography (NIL) equipment, photoresist coaters, as well as cleaning and inspection/metrology systems. With state-of-the-art application labs and cleanrooms at its headquarters in Austria, as well as in North America and Asia, EVG is focused on delivering superior process expertise to its global R&D and production customer and partner base – from the initial development through to the final integration at the customer’s site. Founded in 1980, EVG services and supports an elaborate network of global customers and partners all over the world, with more than 1200 employees worldwide and fully-owned subsidiaries in the U.S., Japan, South Korea, China and Taiwan.

https://www.evgroup.com/

305-STR US, Inc.

A TEAM ON A MISSION.

STR is built on people and technology platforms tackling tough problems in cybersecurity, distributed sensing, and artificial intelligence to deliver mission performance.

https://www.str.us/what-we-do/

416-Pozzetta

SECURE ENVIRONMENTS FOR SEMICONDUCTOR MATERIALSPozzetta’s signature products and systems, transport, store and protect the semiconductor device fabrication industry’s critical materials, semiconductor wafers, and photomasks.

https://www.pozzetta.com/

100-HORIBA

The HORIBA Group, made up of 49 companies in 27 countries, is a leading company that provides analytical and measurement systems throughout the world.

Our business is evolving in the markets of automotive, process and environmental instruments, medical diagnostics, semiconductor instruments and scientific instruments. We have displayed our strength in the global market, especially in the fields of automotive emissions and stack-gas measurement, air pollution, water pollution monitoring, quality control in various industries, and clinical diagnosis, achieving dramatic growth over the past few years. It is our continual source of joy and pride that our analytical and measurement business can contribute to global environmental conservation, safety and health, and moreover to improving energy problems.

https://www.horiba.com/int/

615-Rena Technologies North America

RENA Technologies North America is a wet processing equipment and services company serving the Semiconductor, MEMs, Solar, and high technology industries. RENA NA's specialties include patented solutions for wet processing applications, including Metal Lift-off, Advanced Wafer Etching, Wafer Stripping, and Wafer Cleaning solutions. Exceptional process control is provided through RENA NA's proprietary IDX Flexware Process Control Software. RENA NA manufactures custom automated and semi-automated wet benches, wet process systems and automated chemical delivery systems. Providing award-winning service and support since 1990, RENA NA has a commitment to outstanding customer service and it's products. RENA NA has sales offices in the United States, Europe, China, Asia, and the Middle-East.

https://www.rena-na.com/

515-LayTec AG

LayTec is a major provider of in-situ and in-line optical metrology for thin-film processes. These metrology tools are used in a broad range of thin-film applications such as LED & LASER production, thin-film photovoltaics, oxide and organic deposition as well as other large area deposition processes. LayTec’s integrated metrology provides access to all key thin-film parameters in real-time – either in-situ, during the deposition process, or in-line. Recently, also in-situ metrology tools for wet and dry etching have been added expanding LayTec’s portfolio along the production chain. Beyond these integrated methods, LayTec also offers mapping solutions which ideally complement in-situ measurements by providing uniformity analysis of the deposited layers. The implementation of LayTec metrology systems in production processes significantly shortens development cycles and enables an efficient quality control that helps to considerably reduce production and development costs.

https://www.laytec.de/

302-Roxtec

Our flexible system is used in projects worldwide to protect life and assets and to ensure safety and operational reliability.

https://www.roxtec.com/

216-k-Space Associates, Inc.

k-Space Associates, Inc. (www.k-space.com) is a leading supplier of advanced metrology instrumentation and software used in research and production facilities around the world. Through extensive customer input, close collaboration with its worldwide customer base, and a strong commitment to unparalleled technical support, k Space has developed today’s most powerful thin-film metrology and industrial metrology tools. To learn more visit https://k-space.com/

199-StratEdge Corporation

StratEdge Corporation, founded in 1992, designs, manufactures, and provides assembly services for a complete line of high-frequency and high-power semiconductor packages operating from DC to 63+ GHz. StratEdge offers post-fired ceramic, low-cost molded ceramic, and ceramic QFN packages, and specializes in packages for extremely demanding gallium arsenide (GaAs) and gallium nitride (GaN) devices. Markets served include telecom, VSAT, broadband wireless, satellite, military, test and measurement, automotive, clean energy, and down-hole. All packages are lead-free and most meet RoHS and WEEE standards. StratEdge assembly services have a Class 1000 cleanroom with Class 100 work areas for performing sensitive operations. It is fully equipped with the most modern assembly equipment, enabling high-speed, deep access, fine wire wedge and ribbon bonding. The component placement die attach system is the fastest and most reliable multiple die-type bonder on the market. It enables StratEdge to offer highly accurate, repeatable placement and includes a station for automated eutectic die attach utilizing proprietary processes that yield ultra-thin, low void solder joints. StratEdge has a variety of lids and options for their attachment and offers post assembly services. StratEdge is an ISO 9001:2015 certified and ITAR registered facility located in Santee, California, near San Diego.

https://www.stratedge.com/

506-SPS-America, Inc

Founded in 1988, we supply a range of industry leading products used worldwide for Wafer Handling & Shipping, Wet Process/CMP, Photolithography, and Thin Films (including Diffusion, CVD, Etch). We develop and customize products in close cooperation with our customers.

https://www.sps-international.com/

514/516-ePak

The Leading Full Service Provider of Semiconductor Transfer and Handling Products

https://www.epak.com

206-Center for Semiconductor Manufacturing at The University of Arizona

Advancing the Semiconductor Industry

We're growing well-paid jobs by empowering faculty, staff and students with cutting-edge education and research opportunities.

https://csm.arizona.edu

505-Laser Thermal Analysis

Thermal Metrology Solutions to Accelerate Your Next Breakthrough

Understand thermal properties from the atom to the application.

205-Denton Vacuum

ENABLING INNOVATION IN PLASMA ION ENERGY CONTROL FOR THIN FILM DEPOSITION AND ETCH

With 28 patents and proprietary technologies centered around ion energy and plasma control, Denton Vacuum is uniquely capable of helping semiconductor and nanotech companies meet their needs for low damage, contamination free, ultra thin and uniform films to keep them on their technology roadmaps.

For nearly 60 years, we have pioneered the thin film industry helping engineers solve their biggest problems with advanced technology, production worthy equipment and unparalleled customer service. Our ion energy plasma control for thin film deposition and etch technologies and production proven tools reliably deliver consistent, repeatable results. Discover how our solutions can solve your challenges.

https://www.dentonvacuum.com/

301-JEOL USA, INC

JEOL is the leading global supplier of electron microscopes, ion beam instruments, mass spectrometers and NMR spectrometers.

https://www.jeolusa.com/

315-Insaco, Inc

Insaco is a precision machining company that fabricates parts from all technical ceramics, sapphire, glass and quartz. Since 1947, Insaco has been synonymous with excellence in the development and production of high precision machined parts. As a custom fabricator of ceramic, glass, sapphire, and other hard materials, Insaco specializes in machining parts that often require tolerances measured in millionths of an inch, and wear properties that satisfy even the most demanding applications. For over 70 years Insaco has been earning customer trust by fabricating custom parts from ultra-hard materials like sapphire, alumina, zirconia and silicon carbide. Our concentrated expertise and focus is what makes us unique. No metals or plastics, just ultra hard materials. We’re capable of producing parts with difficult features and to extreme tolerances. The markets we serve are wide and diverse; from aerospace and defense through to medical, semiconductor, instrumentation and process industries. All having one factor in common; our unwavering commitment to quality and excellence. Our company operates at the very highest quality standards and we hold ISO 2000 compliance and are ITAR Registered.

https://www.insaco.com/

209-Bruker

Right from the beginning, more than sixty years ago, Bruker has been driven by a single idea: to provide the best technological solution for each analytical task. Today, worldwide, more than 8,500 employees in over 90 locations on all continents are focusing their efforts on this permanent challenge. Bruker systems cover a broad spectrum of applications in all fields of research and development and are used in all industrial production processes for the purpose of ensuring quality and process reliability. Bruker continues to build upon its extensive range of products and solutions, expand its broad base of installed systems, and maintain a strong reputation amongst its customers. As one of the world's leading analytical instrumentation companies, Bruker remains focused on developing state-of-the-art technologies and innovative solutions for today’s ever-complex analytical questions. Bruker - Innovation with Integrity.

https://www.bruker.com/en.html

401/403-SEMILAB

SEMILAB provides state-of-the-art metrology solutions for semiconductor device manufacturers, both in-line and R&D segments, and is a strategic metrology supplier of leading wafer manufacturers, IC device makers in the More-than-Moore market segment, solar and display industries worldwide. We cover the entire R&D lifecycle of product innovation, ranging from innovative research, measurement development, product design and manufacturing to implementation, integration, and maintenance. With our 47 product lines and our 214 unique products, our growing portfolio offers a variety of metrology solutions based on optical and electrical measurement technologies, thin film applications, and automation developments based on customer requirements, from manual operation to complete and factory scale automation. With our 1500+ employees worldwide, together we participate in the entire manufacturing process of the measuring instruments from the first spark of idea to the last test-run before delivering the product over to the customer.

https://semilab.com

503-Disco Hi-Tec America, Inc

DISCO develops, manufactures, and sells precision machines and precision processing tools.

https://www.disco.co.jp/eg/index.html

413-RSC

RELIABLE SILVER

Fabricating fine silver, coin silver, sterling silver, eutectic and other silver alloy wire, strip, rod, and anodes for industrial purposes for over 30 years.  Also offering silver nitrate, gold and silver salts, potassium gold cyanide, silver cyanides, and other chemicals.

https://www.reliablecorp.com

201-Ferrotec (USA) Corp.

Ferrotec provides customers with advanced technology solutions that make their products work better, more precisely, and more reliably. Founded in 1980 on a technology core of FerroFluid magnetic liquid and Ferrofluidic® sealing products, our company and our product portfolio have grown to meet the evolving needs of our customers.

Ferrotec is a world leading manufacturer, marketer, and distributor of advanced material, component, system, and manufacturing solutions used in a broad array of end products, manufacturing systems, and industries.

https://www.ferrotec.com

313-Matsuda Sangyo Co., Ltd.

We supply high-purity, advanced-function precious metal processed products for use in the manufacture of semiconductors and electronic components. These offerings include sputtering targets, vapor deposition materials, and other vacuum thin-film materials as well as packaging materials such as bonding wire. We've built an integrated series of production structures that extends from pre-treatment to smelting and refining based on more than 70 years of expertise in precious metal refining. We perform sampling at each process and use high-precision analysis technologies in content assessment and quality control. We've registered our brand with the London Bullion Market Association (LBMA) and the London Platinum and Palladium Market (LPPM), which recognize the high quality of our base metals. The highly stable precious metal base metals produced by these structures are recognized as Good Delivery-compliant. Precious metals are limited resources. In fields where use of precious metals is unavoidable, for example electronics, developing mechanisms for recycling through R&D, procurement, manufacturing, and sales is an essential part of being able to realize sustained growth. As a company that has put in place one-stop structures that allow it to offer services ranging from the manufacture and sale of precious metal chemical products and processed products to the recycling of scrap and other waste streams, we’re helping build resource recycling mechanisms for precious metals.

https://www.matsuda-sangyo.co.jp/en/index.html

204-Neutronix-Quintel

NxQ produces photolithography equipment in our US facilities for installation around the world. Preferred by leading IDM’s and foundries in the critical areas of MEMS, Optoelectronics and Defense Applications, NxQ has proven worthy of the trust placed in our equipment and our world-renowned customer support. In addition to our mask aligners for small substrates up to 400mm wafers, we partnered with a select group of suppliers to provide Nano-Imprint Lithography tools, resist processing clusters, wet processing tools and substrate bonders. Come talk to us about your biggest processing challenges! https://neutronixinc.com

314-Kayaku Advanced Materials

Kayaku Advanced Materials manufactures specialty electronic materials including photoimageable epoxy; e-beam, bi-layer lift-off & dielectric photoresists; and ancillary products, as well as plating & RDL materials for advanced packaging. We have exclusive licensing and distribution for DuPont Electronic Materials, semiconductor technologies & advanced packaging applications.

https://www.kayakuam.com/

511-Aixtron Inc.

The AIXTRON Group ("AIXTRON" or "the Company") is a leading provider of deposition equipment to the semiconductor industry. The Company was founded in 1983 and is headquartered in Herzogenrath (Aachen City Region), Germany, with subsidiaries and representative offices in Asia, the USA, and Europe. The company's products are used worldwide by a wide range of customers to manufacture high-performance components for electronic and optoelectronic applications based on compound or organic semiconductor materials. These components are used in a variety of innovative applications, technologies and industries. These include, for example, LED and display technology, data transmission, sensor technology, energy management and conversion, communication, signal and lighting technology, and many other sophisticated high-tech applications.https://www.aixtron.com/en

212-Amtech Systems

Supplying Essential Semiconductor Solutions Worldwide

Amtech Systems is a global supplier of semiconductor equipment and consumables to the power semiconductor industry. From mobile to computing, industrial, automotive, telecom, and medical, our products are embedded in virtually everything around you.

https://www.amtechsystems.com/

404-DOWA Electronics Materials Co., Ltd.

DOWA ELECTRONICS MATERIALS CO., LTD., manufactures groups of high value-added products that hold a leading global market share. The company has three major businesses, including semiconductor, electronics materials and advanced fine materials.

Regarding the semiconductor business, materials such as Ga and In ground metals are handled in the materials unit, GaAs wafers in the compound unit, and infrared and deep ultraviolet LEDs in the Opto unit. The electronics materials business handles materials such as Silver Powder and Silver Oxide Powder while the advanced fine materials business involves magnetic materials such as Magnetic Recording Materials, Carrier Powder, Ferrite Powder, Reduced Iron Powder and Complex Oxide Powder (Fuel Cell Materials).

https://www.dowa.co.jp/index_e.html

412/414-Wolfspeed

Wolfspeed leads the market in the worldwide adoption of Silicon Carbide and GaN technologies. We provide industry-leading solutions for efficient energy consumption and a sustainable future. Wolfspeed’s product families include Silicon Carbide materials, power devices and RF devices targeted for various applications such as electric vehicles, fast charging, 5G, renewable energy and storage, and aerospace and defense. We unleash the power of possibilities through hard work, collaboration and a passion for innovation. Learn more at www.wolfspeed.com

https://wolfspeed.com

411-Raith America, Inc.

Raith is a market leader in maskless direct write lithography technology and automated SEM for inspection and metrology. Raith manufactures electron beam lithography (EBL) instrumentation with sub-5 nm patterning performance, direct write photolithography systems with sub-300 nm resolution, including auto spin coat and development, and focused ion beam (FIB-SEM) systems for sample prep, device fabrication and prototyping (including non-Gallium ion technology). Our worldwide service and customer support structures are backed by experienced experts in our international applications and development centers. We are fully committed to further push the limits of nanofabrication in close collaboration with our outstanding customer base.

https://www.raith.com/

215-Samco, Inc.

SAMCO Inc. (https://www.samcointl.com/company-overview/) is a process equipment company that develops and manufactures dry etching (RIE, ICP and DRIE), thin film deposition (PECVD and ALD) and surface treatment (plasma cleaner, Aqua Plasma and UV-Ozone cleaners) systems for industrial customers and academic facilities. We provide process expertise and turnkey systems to manufacturers of MEMS, microfluidic, compound semiconductor, photonics and silicon devices and are the partners in progress for our customers, from lab to fab.Samco was founded in 1979 and became a public company in 2001 with stocks that are currently traded in the Tokyo Stock Exchange. Headquartered in Kyoto, Japan, Samco has about two hundred employees worldwide. We have shipped more than 4,500 systems to customers in more than 30 countries. With offices globally, Samco provides world-class sales and service support to our customers. We have two sales and service offices and spare parts depot in the U.S., located in Santa Clara, CA and East Brunswick, NJ. We have two labs for R&D and customer demo that are located in Kyoto, Japan and Santa Clara, CA

https://www.samcointl.com/

513-ProTec Carrier Systems GmbH

The ESC and T-ESC® Technologies by ProTec® offer the solution for demanding processing on new or even existing equipment. They lead to more economical operating costs and ensure easy integration of new processes on already existing lines, such as thin glass coating on standard equipment, temporary bonding without adhesive or gripping and fixation of sensitive substrates. Highest yield even for fragile substrates can be generated for inline as well as cluster based processing tools.

https://protec-carrier.com/

312-Freiberger Compound Materials

We are a worldwide leading compound semiconductor substrate supplier with more than 50 years of experience in the field of semiconductor materials.

https://freiberger.com/en/

402-ZEISS Microscopy

ZEISS has the most comprehensive portfolio of light, X-ray and electron/ion beam imaging technologies in the industry. Solutions span from wafer fab through packaging and assembly. ZEISS materials characterization and non-destructive FA solutions deliver actionable information to meet industry challenges for next-generation devices.https://www.zeiss.com/microsocpy

502/504-KLA Corporation

KLA Corporation is a leading supplier of wafer processing, process control and yield management solutions for the semiconductor and related nanoelectronics industries. KLA’s products and services are used by bare wafer, IC, reticle and other manufacturers of materials and equipment around the world, from research and development to final volume manufacturing. Products and services include etch and deposition processes, inline unpatterned and patterned wafer defect inspection, review and classification; reticle defect inspection and metrology; packaging inspection and die sort; critical dimension (CD) metrology; pattern overlay metrology; film thickness, surface topography and composition measurements; measurement of in-chamber process conditions; wafer shape and stress metrology; computational lithography tools; and, overall yield and fab-wide data management and analytics.

https://www.kla.com/

214-Oxford Instruments

Oxford Instruments plc is a leading provider of high technology products and services to the world's leading industrial companies and scientific research communities.

Our core purpose is to enable a greener, healthier, more connected advanced society.

We are proud to be recognised as the leaders in what we do and for the difference we make in the world.

https://www.oxinst.com/

211/213-Beneq

Beneq is the home of atomic layer deposition. In 1984, we established the world’s first industrial production using ALD. Today, we lead the market with products for R&D (TFS200, TFS500, R2), semiconductor device fabrication (Transform®, Transform® 300, and ProdigyTM), 3D and batch production (P400A, P800, P1500), ultra-fast spatial ALD (C2R), and roll-to-roll ALD (Genesis). Beneq’s unique Development Service simplifies customer adoption and proof-of-concept for new ALD processes, while our Coating Service cuts down time to market by outsourcing state of the art ALD production. Our team of engineers and experts is dedicated to making ALD tools accessible for researchers.

https://beneq.com/en/

512-CS CLEAN SOLUTIONS Inc

CS CLEAN SOLUTIONS® is the global leader in dry-bed abatement systems for hazardous gas streams using passive, chemisorption-based technology to treat gas streams to outlet levels below TLV. Using proprietary granulate formulations, the systems operate at ambient temperature to abate toxic, corrosive and pyrophoric chemistries. Fuel gas, high voltage electrical power, water and acid waste neutralization are not required, providing extremely low operating cost with minimal maintenance and downtime compared to other abatement technologies. CS CLEAN also offers a new plasma abatement system for Fluorinated Greenhouse Gases, and a plasma abatement system for GaN deposition abatement of high flow H2 and NH3.

https://www.csclean-usa.com/

304-JST Manufacturing

JST Manufacturing is the expert in wet bench design and manufacturer and go-to international wet process and precision cleaning technology solutions manufacturer in the semiconductor, IoT, MEMS and opto-electronics markets. Our customers regard us as the company that can solve their difficult manufacturing process problems. Utilizing an onsite engineering staff, and a full plastic and metal fabrication facility, JST can provide both design and fabrication solutions for all of your wet processing requirements. With teamwork, integrity, good judgment, innovation, and respect, we are committed to improving our customer's cleaning and handling challenges with quality, cost-efficient, and safe solutions.

https://www.jstmfg.com/

110-SUSS MicroTec Inc.

The SUSS MicroTec Group is a leading supplier of equipment and process solutions for microstructuring applications with 75 years of engineering experience.

Our portfolio covers a comprehensive range of products and solutions for backend lithography, wafer bonding and photomask processing.

https://www.suss.com/en

601/603-Precitec Inc.

Precitec manufactures highly innovative sensors and optical probes for 3D metrology applications in industries ranging from automotive, consumer electronics and medical to glass, coordinate metrology, plastics and semiconductors. Using chromatic confocal and interferometric technologies, our CHRocodile product portfolio sets the standard in contact-free thickness and distance measurements. Our product solutions deliver highly precise and ultra-fast in-process, inline and offline measurements on all possible materials. Always in partnership with you.

https://www.precitec.com/

613-4Dimensions

We provide four point probes with an extended measurement range or sophisticated probing for compound semiconductors. Our latest innovation is a Modified four point probe for measuring pn junction leakage and sheet resistivity in the same probing step meeting the requirements for ultra shallow junction probing.

Our CVmap systems perform capacitance-voltage ( CV ) and current-voltage ( IV ) measurements directly on the unmetalized wafer using a uniquely designed Mercury probe.

http://www.4dimensions.com/

501-Centrotherm

Centrotherm is one of the leading technology providers for innovative thermal processes for the semiconductor and photovoltaics industries. With our thermal process technologies and innovative production solutions, we supply important high-tech industries and support the world's leading manufacturers of semiconductor technology, microelectronics, solar cells and carbon fibers.

https://www.centrotherm.de/en/

311-CVD Equipment Corporation

CVD Equipment Corporation provides precise and reliable chemical vapor deposition and thermal process equipment – enabling tomorrow’s technologies in silicon carbide, energy storage, aerospace and defense, and other applications. We leverage 40 years of experience, including vertical integration of design, manufacturing scale-up from pilot to production, and process optimization to help our customers achieve their most demanding material challenges.

https://cvdequipment.com/

202-CSconnected

The world's first compound semiconductor cluster

CSconnected is the collective brand for a growing number of advanced semiconductor related activities in Wales, home to a unique community of academic institutions, prototyping facilities and global, high-volume manufacturing capabilities that collaborate across a range of research and innovation programs.

CSconnected is uniquely positioned to develop a global advantage in a sovereign, key enabling technology which will allow Wales and the UK to increase trade globally in critical sectors such as 5G communications, autonomous and electric vehicles, advanced medical devices, and consumer electronics of the future.

https://csconnected.com/

611-CTW Solutions

We keep industry running.

CTW Solutions is a critical parts, materials, equipment, repairs and value-added services supplier with over 30 years of experience supporting global semiconductor manufacturing and other high-technology industries. Offering a highly flexible business model, we are supply chain managers, sourcing agents, distributors and manufacturers’ representatives, allowing CTW Solutions to provide a broad range of products and services. Whether your need is in vacuum systems, lubrication, gas or chemical delivery, process control, facilities, metrology, leak detection, wafer handling, cleanroom consumables, safety products (EH&S) or just about any related area, we have the expertise and products to solve your problems and keep you running.

https://www.ctw-solutions.com/

599-Engis Corporation

Engis Corporation is a US-based manufacturer of high-performance superabrasive lapping, grinding, honing, and polishing products and related machinery and accessories.

https://www.engis.com/

114-Vacuum Engineering & Materials Co., Inc.

VEM is a global supplier of thin film materials for the RF Wireless, optics, photovoltaic, and MEMS markets in a wide range of industries including semiconductor, life sciences, Aerospace & Defense, consumer-mobility and clean energy. Headquartered in the Silicon Valley and serving over 200 customers, VEM is a leading supplier of high purity PVD sputtering targets and evaporation materials. Our product portfolio spans the periodic table and we have an experienced technical team developing innovative new products.

203-SIGMAPHI

Sigmaphi Group

Sigmaphi is an industrial group dedicated to the design and creation of high technology equipment destined for research centres, health-care industries and energy sectors. Closely linked to these objectives are the creation of wealth as well as strong human values, and a contribution to the development of its clients, as well as a contribution to the Sigmaphi group consisting of family-sized companies which are flexible and responsive.

Sigmaphi was created in 1981 and has grown thanks to innovation and exporting its products. In 2016 Sigmaphi had a turnover of €32 million, of which 95% was from exports.

https://www.sigmaphi.fr/en/groupe-sigmaphi/

102-Evatec NA Inc

Supplier of thin film deposition equipment and process know how for applications in Advanced Packaging, Power Devices, MEMS, Wireless, Optoelectronics and Photonics . Choose from a range of deposition technologies including evaporation, sputter and PECVD and batch, cluster or inline platforms according to process, throughput and fab integration requirements.

https://www.evatecnet.com/

112-Brooks Instrument

Brooks Instrument: Leader in Flow Meter and Mass Flow Control Technology

As the leading global mass flow control and flow meter manufacturer, Brooks Instrument offers the world’s most comprehensive line of precision flow control and flow measurement devices. As one of the industry’s most trusted flow meter companies, our measurement and control technology enables precision process performance that sets industry standards for mass flow controller and flow meter suppliers.

Explore the value and innovation of our high-performance instruments, including mass flow controllers, rotameters, pressure measurement and control devices, as well as capacitance manometers and Pirani gauges, all supported by expertise and service only found at Brooks Instrument.

https://www.brooksinstrument.com/en

104-Forge Nano

"Forge Nano Technology lets you design products from the atoms up, improving performance with a precision unlike anything else. By manufacturing with atoms, we can unlock your products' potential, allowing you to manipulate matter in its most fundamental form. Forge Nano has proven that Atomic Layer Deposition (ALD) improves performance of a vast range of metrics. We use ALD (Atomic Layer Deposition) to improve performance in Forge Nano’s Proprietary technology makes Atomic Layer Deposition affordable and practical at full commercial scale."

https://www.forgenano.com/